EmbeddedRelated.com
The 2024 Embedded Online Conference

MAX3100 - no FIFO overflow status bit? Any other SPI/UART?

Started by Bill Davy in comp.arch.embedded18 years ago 2 replies

As far as I can tell from the specification, the Maxim MAX3100 can lose bytes if the FIFO overflows and the softwarae cannot detect that this...

As far as I can tell from the specification, the Maxim MAX3100 can lose bytes if the FIFO overflows and the softwarae cannot detect that this has happened. Of course, the software should be quick enough, but real life is full of nasty surprises. The CRC should detect it, but see earlier remark. I have to hook a PIC16F876 to a Cypress 8051 using the debug ports (so no CTS or RTS wires)...


Keil compiler or FX2 ?

Started by Thomas Hoppe in comp.arch.embedded20 years ago 4 replies

Hi ! I have some mysterious problems with cypress fx2. Sometimes if I make small changes in our firmware things go wrong (mostly the...

Hi ! I have some mysterious problems with cypress fx2. Sometimes if I make small changes in our firmware things go wrong (mostly the enumeration process failes). After adding some stupid line of code (BYTE xdata dummy[] = "dummy";) it works as expected ! I don't know what's responsible for this problem. Compiler ? Linker ? FX2 ? Perhaps anybody has some experience with this kind of problem...


Does Cypress World Tour Kit docum,entation still exist?

Started by Joerg in comp.arch.embedded16 years ago 1 reply

Wanted to try some simple stuff with a PSoC and I've got the large kit from the 2006 World tour, the one with four experiment circuits on...

Wanted to try some simple stuff with a PSoC and I've got the large kit from the 2006 World tour, the one with four experiment circuits on there. Looking for schematics the closest I found was CY3209 which is very similar but not 100%, and all part designators are numbered differently. Possibly the "old" kit from 2006 was CY3121 but not sure, there is no info on the board itself. There i...


Cypress EZ USB FX2 I/O Problems

Started by stiggz in comp.arch.embedded15 years ago 4 replies

Hi, I'm trying to read data from I/O port C on the FX2 development board into my computer and then immediately write the received data back...

Hi, I'm trying to read data from I/O port C on the FX2 development board into my computer and then immediately write the received data back onto port E using the CyAPI library. I'd like to be able to run this cycle of data transfer (IOC-> BulkInEndPt-> PC console application-> BulkOutEndPt-> IOE) at a few kHz, but so far I've had no luck. I'm using two 512 byte 4x buffered bulk endpoints. My TD_Pol


Seeking several async. SRAMs at 8ns ( IS61LV51216-8T or GS74116TP-8 )

Started by whygee in comp.arch.embedded16 years ago 2 replies

Hello, I need to replace 12ns SRAMs with equivalent 8ns chips, (256K * 16 bits, 44-pin in TSOP-2 / .8mm pitch) but I can't find them without...

Hello, I need to replace 12ns SRAMs with equivalent 8ns chips, (256K * 16 bits, 44-pin in TSOP-2 / .8mm pitch) but I can't find them without an excessive price tag. Cypress and Alliance don't go faster than 10ns and I've only found suitable references from ISSI : IS61LV51216-8T and GSI : GS74116TP-8 All I need is aprox. 8 units (6 to 12 is OK) but Farnell has only 3 pc and the others...


Recommended FPGA Families & Tools?

Started by Chris Graham in comp.arch.embedded19 years ago 10 replies

I'm just getting into FPGA design. What are good FPGA vendors in terms of good chips, good tools and good support? Also, if you've used the...

I'm just getting into FPGA design. What are good FPGA vendors in terms of good chips, good tools and good support? Also, if you've used the Cypress PSoC mixed analog & digital chips & tools, how did you find them? - Chris


USB FX2 Mass Storage Implementation

Started by EM van Rijk in comp.arch.embedded20 years ago 2 replies

Hello all, I working on a Cypress FX2 dev board thats Bulk-Only Mass Storage Compliant. I've looked at the CY4611 example in detail, but it...

Hello all, I working on a Cypress FX2 dev board thats Bulk-Only Mass Storage Compliant. I've looked at the CY4611 example in detail, but it is more limited than I need. I need to implement SCSI protocol at the firmware level, because there is no SCSI compliant device behind it. The problem I'm having is that I need to check for a CBW header to see if it's a valid SCSI command. This in...


GCC: how to a pre-processor definition into the map file

Started by acd in comp.arch.embedded9 years ago 4 replies

I am not sure this is the best group, but it might be close enough. In our current project we use PSoC controllers from Cypress and we access...

I am not sure this is the best group, but it might be close enough. In our current project we use PSoC controllers from Cypress and we access our application by reading and writing variables (by address). To do so we parse the map file on the host to figure out the address of a variable and then send the address to the PSoC. Over time our host code (in Python) became more complex and w...


CY7C63801 port accessing

Started by Nicholas Kinar in comp.arch.embedded17 years ago

To Whom It May Concern: I have been trying to work with the enCoRe II line of USB microcontrollers from Cypress Semiconductor. Samples have...

To Whom It May Concern: I have been trying to work with the enCoRe II line of USB microcontrollers from Cypress Semiconductor. Samples have also been ordered of the enCoRe III line, which extends the offering to high-speed USB communications. To test the enCoRe II line of microcontrollers, I have been trying to turn a port pin on so that I might be able to supply current to an LED. ...


Cheapest object sensor on the market!

Started by raedarrar in comp.arch.embedded16 years ago 78 replies

Hi all...I need the cheapest possible object sensor on the market to embed it onto a circuit board, any range between 100mm to 0mm, even a touch...

Hi all...I need the cheapest possible object sensor on the market to embed it onto a circuit board, any range between 100mm to 0mm, even a touch or pressure sensor which ever is cheaper. CapSense from Cypress is a perfect solution for my application because of its size, would not have been for the price ($1.40). Is any one knows of a cheaper solution and that it would not need a micro controller. ...


enumaration of cypress device

Started by puniths_d in comp.arch.embedded15 years ago 2 replies

I have an fx2 device .I have developed a firmware for it . When I download the firmware the device takes a long time to enumarate or some times...

I have an fx2 device .I have developed a firmware for it . When I download the firmware the device takes a long time to enumarate or some times it goes a known device .Some times it quickly enumarates. Is the power supply current has got something to do with the enumaration process. The enumaration process is getting distrubed some how. I appriciate some help thanks in advance punitha


RSA on 8bit micro

Started by W Trembyle in comp.arch.embedded17 years ago 35 replies

I'm working with a Cypress PSoC micro with an M8C core (CY8C29666). I have 32K ROM and 1K RAM. I need to implement 1024 bit RSA encryption in...

I'm working with a Cypress PSoC micro with an M8C core (CY8C29666). I have 32K ROM and 1K RAM. I need to implement 1024 bit RSA encryption in as small a footprint as possible. I do not need to do any key generation in the micro itself. I know that RSA is common on Smart Card micros and secure micros with similar resorces, but I can't find any good examples. All I find is tons of M...


Although I unenable output, it still outputs 2.5 volt...

Started by uzinei in comp.arch.embedded18 years ago 3 replies

Hi, I am developing a data transmission circuit using the Cypress EZ-USB development kit. I have a question about setting register. When I...

Hi, I am developing a data transmission circuit using the Cypress EZ-USB development kit. I have a question about setting register. When I unenable a certain pin, for example, CLKOUT pin, it have to be 0 Voltage, I think. But although the CLKOUT pin become to be float, it still outputs 2.5 Volt. Is it correct? Or, because of wrong firmware I made, it become to 2.5 Volt, not to 0 Volt? I am ...


Programming Cypress full-speed USB microcontrollers

Started by SM in comp.arch.embedded20 years ago 2 replies

Hi, I'd like to design a simple and *cheap* device with a full-speed USB capacity - thought I'd use M8 CY7C64113. Unfortunately I haven't been...

Hi, I'd like to design a simple and *cheap* device with a full-speed USB capacity - thought I'd use M8 CY7C64113. Unfortunately I haven't been able to locate any software emulators for the chip, so I'm kind of stuck. I'd definitely prefer not to pay 1200$ for CY3654 + CY3654-PO3. Are there any cheaper alternatives? Or maybe some software emulators I've overlooked? Could I somehow use the P...


For those starting with Cypress Ez USB FX2LP and FPGA interfaces -- PART 2

Started by makhan in comp.arch.embedded17 years ago

Hello, After completing the PART 1 of this tutorial you can now connect and verify the FX2 functioning as well as establish the End Point...

Hello, After completing the PART 1 of this tutorial you can now connect and verify the FX2 functioning as well as establish the End Point FIFO transfers in Bulk mode. However, all the transactions have taken place within the scope of FX2. Majority of the time, it is required to connect the FX2 with an external peripheral for instance FPGA or any micro processor to transmit and receive data...


Creating multiple RC servo motor pulse streams with Cypress' PSoC

Started by Anonymous in comp.arch.embedded20 years ago 1 reply

I'm very new to PSoC concept and it is really impressive. (http://www.cypressmicro.com) As many of you know that radio control (RC) servo...

I'm very new to PSoC concept and it is really impressive. (http://www.cypressmicro.com) As many of you know that radio control (RC) servo motors use 1ms-2ms (1.5ms center position) pulses repeated after approx 20ms delay. My questions are (A) How to generate RC servo signal (with 9-10 bit resolution) in CY8C27443 ? (B) Assume that we want to read servo channel no and servo position from ...


Cypress PSOC CY8CKit-49-42xx Prototype Kit

Started by rickman in comp.arch.embedded10 years ago 4 replies

I am helping to port Mecrisp Forth to the PSOC and am having trouble loading my program to the eval board. I am using the $4 CY8CKit-49-42xx...

I am helping to port Mecrisp Forth to the PSOC and am having trouble loading my program to the eval board. I am using the $4 CY8CKit-49-42xx board with the more functional 4245 device. I started with a UART loopback program and modified it to be "bootloadable" which means it can be used with the bootload on this board. This is firmware on the board that accepts commands to flash a pro...


USB2.0Mass Storage controller + 8051 Low Power?

Started by Elan Magavi in comp.arch.embedded17 years ago 4 replies

I have been trying to find a device with a MPU core and a USB 2.0 interface. Prefer if the MPU is 8051 but it doesn't matter. Must be low power...

I have been trying to find a device with a MPU core and a USB 2.0 interface. Prefer if the MPU is 8051 but it doesn't matter. Must be low power with suspend currents under 30uA. I have seen the Cypress units and they are almost perfect but the wake-up time is ~5ms. My current design is up and running in around 120us after wake up. It is a data logger so it wakes up as fast as every 10ms ...


USB Bulk Reads via Cypress EZ-USB FX2

Started by Gavin Brewer in comp.arch.embedded17 years ago 3 replies

Hi Guys, I have attempted to perform a USB Bulk read transfer over an EZ-USB FX2 development board. Vendor requests work fine, but using...

Hi Guys, I have attempted to perform a USB Bulk read transfer over an EZ-USB FX2 development board. Vendor requests work fine, but using the usb_bulk_read() functions returns the following error message: "usb_submit_async: submitting request failed, win error: The parameter is incorrect" So far, we have established that the problem is on the peripheral (8051 FX2) side, and *not*...


gpif is not triggered

Started by puniths_d in comp.arch.embedded16 years ago

hello, I am developing a cypress FX2 firmware to interface it with FIFO. iam usinfg GPIF master mode. I have downloaded cyconsole to test...

hello, I am developing a cypress FX2 firmware to interface it with FIFO. iam usinfg GPIF master mode. I have downloaded cyconsole to test my firm ware . i have generated the control signals using the GPIF tool. i am able to download the firm ware and check the statue of the FIFO , GPIF ( for idle ) and EP2 (out) using the vendor commands . when a send the data from tne host to EP2 for...



The 2024 Embedded Online Conference