EmbeddedRelated.com
The 2024 Embedded Online Conference

CY7c67300 (EZ-Host) and HSS

Started by Anonymous in comp.arch.embedded17 years ago 3 replies

Hi, I'm using the Cypress CY7c67300 (a.k.a EZ-Host) chip in a dual-role (eg. both USB host and device) application. My code runs in...

Hi, I'm using the Cypress CY7c67300 (a.k.a EZ-Host) chip in a dual-role (eg. both USB host and device) application. My code runs in the internal RAM of the chip, and another uC is sending and reading data to and from the EZ-Host chip via HSS commands. Sometimes these HSS commands fail. When I monitor the serial line with an oscilloscope I can see the following: - I send a proper COMM_WRITE_...


Cypress FX2 slave FIFO issue

Started by GrizzlySteve in comp.arch.embedded13 years ago 3 replies

Hi all, I am trying to temporarily disable my EP6 slave FIFO which is set to auto-in during data stream, and I am running into trouble no...

Hi all, I am trying to temporarily disable my EP6 slave FIFO which is set to auto-in during data stream, and I am running into trouble no matter which approach I take. A little background: I'm grabbing data from a camera whose Line Valid (LV) signal clearly indicates when there is valid data, since it's only active when Frame Valid (FV) is also active. So, my current approach is to direc...


USB 2.0 High speed 480Mbit device controller

Started by Anonymous in comp.arch.embedded18 years ago 2 replies

Hi, It seems I can't find anything else than CY7C68013? With the plenty of USB 2.0 High speed devices around novadays (like cameras, audio,...

Hi, It seems I can't find anything else than CY7C68013? With the plenty of USB 2.0 High speed devices around novadays (like cameras, audio, even flash disks) I do wonder what peoples do use? Google and Digikey don't show anything but Cypress. Best regards Tsvetan --- PCB prototypes for $26 at http://run.to/pcb (http://www.olimex.com/pcb) Development boards for ARM, AVR, PIC, MAXQ2000 ...


Quick SBC with 16-bit AD and Ethernet ?

Started by Dave Nadler in comp.arch.embedded12 years ago 2 replies

Hi Folks - I'm looking at a quick project that needs: - 16-bit AD data acquisition, - Ethernet connectivity - modest processing requirements...

Hi Folks - I'm looking at a quick project that needs: - 16-bit AD data acquisition, - Ethernet connectivity - modest processing requirements (32-bits best) - ideally contemporary ARM supported by lots of toolchains RTOS etc. I'd love to use Actual Fusion (but only 12 bits) or Cypress PSoC (but no Ethernet). Quick look around shows lots of 12-bit solutions. Tern has high res AD but old x...


Using Jungo's Windriver for Embedded USB App

Started by Anonymous in comp.arch.embedded19 years ago 1 reply

Im looking to encorporate usb2 into my embedded app. At of all the solutions, the Cypress FX2 IC, seems the best, it has an 8051 core...

Im looking to encorporate usb2 into my embedded app. At of all the solutions, the Cypress FX2 IC, seems the best, it has an 8051 core with usb2. Has anyone used this? Jungo sells firmware for this chip (WinDriver), but is it meant to work along side of my application firmware in the same 8051?? It has very little ram, so Im guessing the jungo driver runs exclusively on it, and my applic...


For those starting with Cypress Ez USB FX2LP and FPGA interfaces -- PART 1

Started by makhan in comp.arch.embedded17 years ago

Hello, In order to start working with USB 2.0 data transfers, I used two boards for implementation and cross verification. The boards...

Hello, In order to start working with USB 2.0 data transfers, I used two boards for implementation and cross verification. The boards are: 1. Cy 3618 development board for FX2LP chips which support USB 2.0 transfers 2. FPGA developement kit which houses Cy7C68013A chip with all the connections to the FPGA. (By all connections I mean the ones which matter, as we will see later in the pos...


Developing Embbeded Driver board for ATIK314L+

Started by sachin2611 in comp.arch.embedded11 years ago

Hi, I am currently working on a project in which I have to interface CCD camera ATIK314L+ with an embedded board. Camera ATIK314L+ is provided...

Hi, I am currently working on a project in which I have to interface CCD camera ATIK314L+ with an embedded board. Camera ATIK314L+ is provided Cypress FX2 USB drivers support. I successfully completed interfacing of camera with windows7 based PC using the ArtemisSDK Visual studio application provided by ATIK. And, now I want to develop embedded board that can drive that camera. One of the ...


EZ-USB GPIF - very odd issue

Started by Anonymous in comp.arch.embedded18 years ago 2 replies

I am using a Cypress EZ-USB FX2LP (low power version of the FX2) on a 4-layer PCB that I've designed. I have created a very simple...

I am using a Cypress EZ-USB FX2LP (low power version of the FX2) on a 4-layer PCB that I've designed. I have created a very simple GPIF waveform that wiggles a couple of control signals and reads/writes data via the 16-bit data bus. I am only implementing the single read and single write waveforms at this time. Once I started testing my firmware I noticed that the values on the data bus ...


How to opena handle to "Cypress EZ-USB FX2 (68613) - EEPROM missing" and download a program to RAM

Started by Bill Davy in comp.arch.embedded17 years ago 18 replies

But NOT using EZ-USB which I suspect is messing my programme up. Perhaps it does not allow for the extra memory in the FX2LP. I have written...

But NOT using EZ-USB which I suspect is messing my programme up. Perhaps it does not allow for the extra memory in the FX2LP. I have written code to download a program (in hex or iic format) to RAM or EEPROM, but that was when the FX2 was running vend-ax (or our software, which is derived from it). I just need to get a file handle and then use some library functionto send 0xA0 command...


FX2 interrupt mixed?

Started by Michael Bahrs in comp.arch.embedded20 years ago 3 replies

Hi everybody I have a strange problem with a cypress FX2. I want to work with timers and external events on INT0 and INT1 Pin. (I want to...

Hi everybody I have a strange problem with a cypress FX2. I want to work with timers and external events on INT0 and INT1 Pin. (I want to measure the time beween an event on INT0 and INT1). Everything works fine except I get the interrupts IE0, TF0, IE1 and TF1 alltogether on interrupt vector 0x1b (normally only TF1). If I use 'interrupt 3' everthing works fine. I can enable/disable ...


High speed USB 2.0 OTG component availability

Started by Brad S in comp.arch.embedded19 years ago 27 replies

Hi, I'm searching for a chip that operates to the high speed USB 2.0 On-The-Go (OTG) standard. We currently use the Cypress FX2...

Hi, I'm searching for a chip that operates to the high speed USB 2.0 On-The-Go (OTG) standard. We currently use the Cypress FX2 (CY7C68013) to provide a high speed USB 2.0 interface to a host PC and now we would like to add a USB hosting capability that would allow us bypass the host PC and download data directly to a high speed USB 2.0-enable hard disk drive. This application seems very...


LoopBack fails for the second time

Started by sajjan in comp.arch.embedded16 years ago

Hi, I am new to this group. I am using cypress fx2lp usb controller. I have written a loopback firmware for it and successfully downloaded...

Hi, I am new to this group. I am using cypress fx2lp usb controller. I have written a loopback firmware for it and successfully downloaded on its ram. On the host side I have written an application which opens the usb device, send some data, read it back, verify and close the device. When I send 512B for the first time loopback works but when I send anything after that loopback fails. F...


Pullup resistors when interfacing an SD card to SPI?

Started by fred in comp.arch.embedded13 years ago 1 reply

Hi All, I'm interfacing an SD card to a Cypress PSoC5 via SPI. I originally tried to get it to work with one of these...

Hi All, I'm interfacing an SD card to a Cypress PSoC5 via SPI. I originally tried to get it to work with one of these adapters http://ucontroller.com/documentation/SDCardDoc.html, but it didn't work. I did get it to work fine by hard wiring an SD card in without the pullup resistors. Can anyone tell me which pullup resistors are needed and why, as it only seems to work without them. ...


DDK for Windows 2000

Started by SWDeveloper in comp.arch.embedded17 years ago 1 reply

I will need to build some custom USB drivers and the Cypress documentation says I need to install DDK. Can anyone tell me what files I will...

I will need to build some custom USB drivers and the Cypress documentation says I need to install DDK. Can anyone tell me what files I will need to install for a Windows 2000 development machine? The custom drivers developed will be for Windows 2000, XP, and eventually Vista. Do I need to install dot NET to install/use DDK? TIA


Cypress FX2 buffering

Started by jan0385 in comp.arch.embedded14 years ago 10 replies

Hello, I have a question concerning the double, tripple, quad buffering process in the FX2. Are the folowing statements correct: Quad...

Hello, I have a question concerning the double, tripple, quad buffering process in the FX2. Are the folowing statements correct: Quad buffering, IN Endpoint: The external master can fill one buffer while the PC is emptying the other three buffers. Full flag: ALL buffers are filled Empty flag: ALL buffers are empty. Quad buffering, IN Endpoint: Is it right, that for example when I ...


USB & FX2LP: Anybody ever used USB-audio-class-driver?

Started by X-Rocka in comp.arch.embedded18 years ago 2 replies

Hello, we are currently trying to use a Cypress FX2LP for audio streaming (isochronous adaptive endpoints) with the WinXP USB-audio-class...

Hello, we are currently trying to use a Cypress FX2LP for audio streaming (isochronous adaptive endpoints) with the WinXP USB-audio-class driver. We have already established the audio control parts (volume, mute, etc.), and now we're trying to stream audio data to the device. At first we're trying to make the CPU show us that data arrived, then clear the buffers for more data. WinXP sel...


USART, single master (8051), multiple slave (PIC16F876) - suggestions

Started by Bill Davy in comp.arch.embedded17 years ago 1 reply

We built a machine with a CAN bus connecting modules to a CAN board in a PC. We had a useful little Laser Beam Power Meter [LBPM] board based...

We built a machine with a CAN bus connecting modules to a CAN board in a PC. We had a useful little Laser Beam Power Meter [LBPM] board based on a PIC16F876 attached to CAN. It had a debug serial port. S/w was developed on MPLAB/CCSC C. The next machine used a Cypress FX2LP (based on an 8051). It has a debug serial port. S/w was developed on Keil C. Whaddya know, we need to conn...


The worst transmission speed of USB 2.0 Interface

Started by uzinei in comp.arch.embedded18 years ago 6 replies

I'm designing an audio data transfer circuit using USB 2.0 interface. I use the Cypress USB tranceiver. I want to know the worst case...

I'm designing an audio data transfer circuit using USB 2.0 interface. I use the Cypress USB tranceiver. I want to know the worst case transmission speed of USB 2.0. I already read USB 2.0 Specification, but I cannot found any information about that. In USB 2.0 spec., there are only information about Maximum data rate, 480Mbit per sec. So, please answer about the worst transmission speed of ...


Writing a serial number to EEPROM...

Started by Donner in comp.arch.embedded18 years ago 4 replies

Hi! I'm trying to write a serial number to a Cypress FX2 EEPROM. I have used the hex2bix method, and it worked great. But my new method...

Hi! I'm trying to write a serial number to a Cypress FX2 EEPROM. I have used the hex2bix method, and it worked great. But my new method is to edit the binary file from the hex2bix, alter the serial number, and upload the new binary file to the FX2 EEPROM. I can find the serial number okay, and can alter it. But when writing to the USB device, nothing seems to happen. I have to re...


About EZ-USB FX2 Using external clock

Started by Anonymous in comp.arch.embedded15 years ago 3 replies

I use Cypress EZ-USB FX2 for a data transmit system, FX2 in Slave FIFO mode, with FPGA as the master. I write proogram to transmit data from...

I use Cypress EZ-USB FX2 for a data transmit system, FX2 in Slave FIFO mode, with FPGA as the master. I write proogram to transmit data from the PC to FX2.(direc is OUT) The problem is , when I set IFCONFIG = 0xC3 in firmware, which means Slave FIFOs executes on internal 48MHz clk source, it works well. but I need to set IFCONFIG = 0x43, which means Slave FIFOs executes on external clk s...



The 2024 Embedded Online Conference