EmbeddedRelated.com
The 2024 Embedded Online Conference

CISC architecture processor in vhdl & then in fpga (LeonardoSpectrum - ModelSim)

Started by xrisas1 in FPGA-CPU19 years ago 1 reply

I'm a student.I'm now learning VHDL. Help. I'm looking for a generic vhdl library. Registers,ALU,Shifter,RAM,SubSequencer. ...

I'm a student.I'm now learning VHDL. Help. I'm looking for a generic vhdl library. Registers,ALU,Shifter,RAM,SubSequencer. HELP. Implementing CISC architecture processor in Book : Computer Designs Funtamentals, Morris Mano HELP


Design mini cpu?

Started by potxoka3a in FPGA-CPU15 years ago 5 replies

hi I=C2=B4m new to VHDL and FPGA. I=C2=B4m currently doing a design in an FPGA= with VHDL, analyzing and changing a few signs of a data bus...

hi I=C2=B4m new to VHDL and FPGA. I=C2=B4m currently doing a design in an FPGA= with VHDL, analyzing and changing a few signs of a data bus of 20 signals = (transceiver). These signals often change protocol, as the team changes dur= ing the design in VHDL I changed 2 times. To avoid having to always fpga programming, thought if there was any way to= change the interpretation of these s...


SLL in VHDL

Started by Frangline Jose in FPGA-CPU22 years ago 2 replies

Hi, I tried to use SLL, ROR ... in VHDL. Iam using modelsim for simulation, Here is the command I used, vcom -93...

Hi, I tried to use SLL, ROR ... in VHDL. Iam using modelsim for simulation, Here is the command I used, vcom -93 shifter.vhd My code is, LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.Numeric_STD.all; ENTITY


DWT in vhdl

Started by siva...@gmail.com in FPGA-CPU16 years ago 3 replies

hi, how to implement discrete wavelet transform for image compression in vhdl.plz. give me brief idea. if anyone has source code please send...

hi, how to implement discrete wavelet transform for image compression in vhdl.plz. give me brief idea. if anyone has source code please send to me. thank you To post a message, send it to: f...@yahoogroups.com To unsubscribe, send a blank message to: f...@yahoogroups.com


Re: VHDL and Verilog... the answer?

Started by in FPGA-CPU21 years ago 3 replies

Hi everybody!!!! This is an interesting controversy. Some month ago I was looking for an answer to the question: "what is...

Hi everybody!!!! This is an interesting controversy. Some month ago I was looking for an answer to the question: "what is better: Verilog or VHDL?". But really I have not found a conclusive answer. why?, Each languages has advantages and disadvantages. However


TO_UNSIGNED command in vhdl

Started by siva...@gmail.com in FPGA-CPU16 years ago 1 reply

hi, any one please tell me, the use of commands TO_UNSIGNED, TO_INTEGER,TO_SIGNED in vhdl language. To post a message, send it to:...

hi, any one please tell me, the use of commands TO_UNSIGNED, TO_INTEGER,TO_SIGNED in vhdl language. To post a message, send it to: f...@yahoogroups.com To unsubscribe, send a blank message to: f...@yahoogroups.com


AHDL Convertor

Started by hadi khani in FPGA-CPU21 years ago 4 replies

Hi, I have old codes in AHDL, I am going to my codes to VHDL and I do not have sufficient time for rewriting. Does any body know an AHDL to...

Hi, I have old codes in AHDL, I am going to my codes to VHDL and I do not have sufficient time for rewriting. Does any body know an AHDL to VHDL convertor. thanks


vhdl design of 8 bit processor

Started by varadaprasad1 in FPGA-CPU15 years ago 2 replies

I need VHDL design for 8 bit processor,which is part of my project.Please mail me the codes or the link for the code,if any one of you have the...

I need VHDL design for 8 bit processor,which is part of my project.Please mail me the codes or the link for the code,if any one of you have the same. ------------------------------------ To post a message, send it to: f...@yahoogroups.com To unsubscribe, send a blank message to: f...@yahoogroups.com


Sorting in VHDL

Started by Sumeet Suri in FPGA-CPU22 years ago 4 replies

Hey Guys, I wanted to implement sorting of 255 numbers which are 32 bit each. Sorting of 2, 1 bit numbers is a easy thing. but...

Hey Guys, I wanted to implement sorting of 255 numbers which are 32 bit each. Sorting of 2, 1 bit numbers is a easy thing. but with such large numbers will it be easy to implement it in VHDL. Have any of you guys tried doing this? Sumeet


anyone has ps2 keyboard controller cores?

Started by cationebox in FPGA-CPU19 years ago 2 replies

can anyone help me ? i will use it in my project but i am not sure to write right code in time so i turn to you can you help me...

can anyone help me ? i will use it in my project but i am not sure to write right code in time so i turn to you can you help me ? vhdl is better than in verilog thanks a lot


Intel 8088

Started by Mogens Pelle in FPGA-CPU20 years ago 1 reply

Hi there Does anybody here know about a 8088-compatibel core written in VHDL? Regards Mogens Pelle Engineering College of...

Hi there Does anybody here know about a 8088-compatibel core written in VHDL? Regards Mogens Pelle Engineering College of Copenhagen


Xilinx vs Altera / Microblaze vs Nios???

Started by Mats Brorsson in FPGA-CPU19 years ago 14 replies

We are in the process of selecting an FPGA board for a series of laborations in courses ranging from computer engineering,...

We are in the process of selecting an FPGA board for a series of laborations in courses ranging from computer engineering, embedded software development to VHDL design, SoC architectures and operating systems. We are trying to evaluate Xilinx vs Altera boards


System09 updates

Started by John Kent in FPGA-CPU19 years ago 4 replies

Just a notice to anyone playing with the System09 VHDL core, There are a few updates to the CPU09 core. JSR [0,S] did not work...

Just a notice to anyone playing with the System09 VHDL core, There are a few updates to the CPU09 core. JSR [0,S] did not work properly The stack pointer was pre-decremented ready to push the return address before the indexed effective address was calculated. EXG xx,CC and TFR xx,CC did not work properley. The ALU did not transfer


POP-11 (PDP-11/40 in an FPGA)

Started by Scott in FPGA-CPU17 years ago 94 replies

Hello, I came across this old posting for the POP-11, but the original URL no longer works. I'd really like to get the VHDL source code for...

Hello, I came across this old posting for the POP-11, but the original URL no longer works. I'd really like to get the VHDL source code for the POP-11 project if possible. Can someone send me an updated URL? Thanks in Advance, Scott -- In Oct 6, 2004, Naohiko Shimizu-san wrote: > > Hi all, > > I and my student Mr.Iida placed a PDP11/40 compatible CPU source > code on our web site.


6809 VHDL Core running

Started by John Kent in FPGA-CPU21 years ago 1 reply

For those following the Block RAM saga, the answer seems to be that I have my clock edges the wrong way round. I got RAMB4_S8...

For those following the Block RAM saga, the answer seems to be that I have my clock edges the wrong way round. I got RAMB4_S8 working as a ROM on my 6800 design by reversing the clock edges. I also managed to simulate block RAM by including the unisim library in the file


Inferred Priority Encoder In VHDL

Started by rtstofer in FPGA-CPU16 years ago 12 replies

Consider something like: result

Consider something like: result


ARM7 - FPGA

Started by sumana0281 in FPGA-CPU15 years ago 2 replies

Sir, I am doing a project on memory accelerator for ARM7TDMI. I have coded memory accelerator in VHDL. The memory used is a flash. I have LPC2129...

Sir, I am doing a project on memory accelerator for ARM7TDMI. I have coded memory accelerator in VHDL. The memory used is a flash. I have LPC2129 Arm processor with me. Does altera kit support for FPGA as well as ARM processor? or suggest any other option. kindly guide me. regards and thanx in advance sumana ------------------------------------ To post a message, send it to: f...@yahoogro...


fpgasm - a low-level design language for Xilinx FPGAs

Started by "arm7.developer" in FPGA-CPU12 years ago

Hello, I would like to present to you an alternative to Verilog and VHDL - FPGA Assembler. I've been fiddling with FPGAs for a while. ...

Hello, I would like to present to you an alternative to Verilog and VHDL - FPGA Assembler. I've been fiddling with FPGAs for a while. I've been working on some open source tools to make FPGA work not totally unpleasant. fpgasm is to Verilog is what assembly language is to C++. With fewer than 10 reserved words, you can actually start hacking in minutes. Anyway, I hope you get a chanc...



The 2024 Embedded Online Conference