EmbeddedRelated.com
Forums

Using DDR RAM

Started by rtstofer October 2, 2009
--- In f..., Hellwig Geisse wrote:
>
> Hi Richard,
>
> > As you may recall, I got the IBM 1130 emulation to run on that board
> > and since then I have also gotten a PDP11-xx to run. The xx would be
> > -40 if I had the MMU working. As it is, it runs RTL fairly well. I
> > want the -40 version so I can run Unix V6.
>
> very nice! If I remember correctly, the MMU of the -40 isn't
> that complicated, so that the effort of implementing it should
> be moderate.
>

There is a project http://shimizu-lab.dt.u-tokai.ac.jp/pop11.html that has all the details for the PDP11-40.

The logic is written in another HDL named SFL. It's a wonderful language but, for whatever reason, when the provided VHDL translator converted the code, I couldn't get XST to accept it. There is also the problem familiar to all compiled code: the output is nearly unreadable. Hundreds of nets all similarly named with a numeric suffix.

I think I'll look at the PDP11-40 simulator and see how they did the MMU.

I got sidetracked again. My grandson has developed an interest in retro games. I have a Mame cabinet but he's into Nintendo, Atari and that stuff. So, I bought the Parallax Propeller game development board to show him around. I'm also messing with the FPGA arcade game.

I'll get back to the PDP-11 in a month or two. I first want to finish a multi-boot gadget that will allow me to reconfigure the S3SB to emulate the Z80-CP/M system, the IBM 1130 or the PDP11 based on a dipswitch setting. The physical hardware can all be common. They all need a disk drive (CF), seven segment displays, console switches and serial ports. Only the internals are different. In fact, a single 2GB CF will probably provide the full disk capacity of all the machines combined.

I am getting very familiar the *nix 'dd' command...

Richard



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...
On Fri, Oct 02, 2009 at 06:57:02PM -0000, rtstofer wrote:
> I bought a Digilent Spartan 3E Starter Board and it comes with 32M x 16 of DDR RAM. They don't provide a controller core.

If you have a relatively recent ISE you should be able to generate a
DDR interface using the memory interface generator (MIG). I believe it
is also included in the Webpack version. This is probably your best
bet. Getting the opencores DDR controller to work can be done but was
quite troublesome (at least for me).

/Andreas


To post a message, send it to: f...
To unsubscribe, send a blank message to: f...
On Mon, 2009-10-05 at 13:04 +0000, rtstofer wrote:

> I got sidetracked again. My grandson has developed an interest in
> retro games. I have a Mame cabinet but he's into Nintendo, Atari and
> that stuff. So, I bought the Parallax Propeller game development board
> to show him around. I'm also messing with the FPGA arcade game.

My son developed a version of Tetris on top of the ECO32
computer system. He invented a special terminal for block
graphics, an audio generating circuit for the beeps, and
wrote the gaming logic in C. You can find some pictures,
the hardware description (Verilog) and the game code here:

http://vshg01.mni.fh-giessen.de/index.php?section=projects&subsection=tetris

The text is in German, I'm sorry. We use the game to show
an example of what we are doing in our department in order
to attract students.

Hellwig



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...
--- In f..., Hellwig Geisse wrote:
>
> On Mon, 2009-10-05 at 13:04 +0000, rtstofer wrote:
>
> > I got sidetracked again. My grandson has developed an interest in
> > retro games. I have a Mame cabinet but he's into Nintendo, Atari and
> > that stuff. So, I bought the Parallax Propeller game development board
> > to show him around. I'm also messing with the FPGA arcade game.
>
> My son developed a version of Tetris on top of the ECO32
> computer system. He invented a special terminal for block
> graphics, an audio generating circuit for the beeps, and
> wrote the gaming logic in C. You can find some pictures,
> the hardware description (Verilog) and the game code here:
>
> http://vshg01.mni.fh-giessen.de/index.php?section=projects&subsection=tetris
>
> The text is in German, I'm sorry. We use the game to show
> an example of what we are doing in our department in order
> to attract students.
>
> Hellwig
>

Both projects are terrific! I may attempt to replicate them in the near future using the same board.

I am particularly interested in the SDRAM controller and the LCC port.

Thanks for posting the link!

Richard



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...
On Mon, 05 Oct 2009 13:04:44 -0000, you wrote:

>--- In f..., Hellwig Geisse wrote:
>>
>> Hi Richard,
>>
>> > As you may recall, I got the IBM 1130 emulation to run on that board
>> > and since then I have also gotten a PDP11-xx to run. The xx would be
>> > -40 if I had the MMU working. As it is, it runs RTL fairly well. I
>> > want the -40 version so I can run Unix V6.
>>
>> very nice! If I remember correctly, the MMU of the -40 isn't
>> that complicated, so that the effort of implementing it should
>> be moderate.
>
>There is a project http://shimizu-lab.dt.u-tokai.ac.jp/pop11.html that
>has all the details for the PDP11-40.
>
>The logic is written in another HDL named SFL. It's a wonderful
>language but, for whatever reason, when the provided VHDL translator
>converted the code, I couldn't get XST to accept it. There is also
>the problem familiar to all compiled code: the output is nearly
>unreadable. Hundreds of nets all similarly named with a numeric
>suffix.

Wasn't the link for a verilog translator? I assume XST accepts that
but the sfl2vl was noted as verilog, not VHDL, on that web page. Does
sfl2vl produce VHDL, as well?

>I think I'll look at the PDP11-40 simulator and see how they did the
>MMU.
>I'll get back to the PDP-11 in a month or two.
>

I'm wondering where one might come across an old PDP-11/45 or
PDP-11/70 front panel. The switch system, at the very least, would be
nice to have. Probably hard to come across, though.

Jon


To post a message, send it to: f...
To unsubscribe, send a blank message to: f...
--- In f..., Jon Kirwan wrote:

> Wasn't the link for a verilog translator? I assume XST accepts that
> but the sfl2vl was noted as verilog, not VHDL, on that web page. Does
> sfl2vl produce VHDL, as well?
When you install the entire package, you get sfl2vl and sfl2vh which are scripts that invoke sfl2vlbin.exe. sfl2vh adds a -vhdl option.

> I'm wondering where one might come across an old PDP-11/45 or
> PDP-11/70 front panel. The switch system, at the very least, would be
> nice to have. Probably hard to come across, though.
>
> Jon
>

It would be very nice to either buy such a panel or build something similar. That's one of the reasons I am looking at multi-boot for the FPGA. I could build a panel like the PDP11 or even one like the Altai 8800. Lots of switches and lights. It would work for just about any 16 bit core.

Looking at the ECO-32 core, I might want to rethink how that panel should look. I think I will give up on discrete LEDs and an octal LED layout probably doesn't make it either. Probably the best scheme is to use 8 digit 7 segment displays with one of the Maxim controller chips. I already use this chip for my 2 row 8 digit display.

Console switch entry seems workable in a 16 bit environment. It gets out of hand for a 32 bit machine. I suspect that a hex keypad would be a better solution.

Now, as the FPGA is controlling all this stuff, it would be possible for the PDP-11 core to define everything as octal and the other cores to define everything as hex.

The neatest solution I can come up with is a touch screen display. There is absolutely no reason that the register contents need to be presented in strictly real time. You can't see the lights blink anyway. I nice little touch screen would be perfect. Add a menu system so you can get to the 'keypad' and you're good to go.

Richard



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...
re: console switches

I think the primary purpose is in allowing examine-deposit and single stepping. Sure, there are some programs that get some switch settings but I haven't done that kind of thing since my 1130 days.

Even if I used an LCD for display, a keypad for entry and a few toggle switches, I could live with it.

In fact, using the LCD display allows the running core to decide what information to display and where to display it.

I suppose a monitor with a VGA terminal is the next 'upgrade' but that just doesn't make a nice front panel.

I need to spend more time thining about this. I hadn't thought I would be messing around with a 32 bit core so I haven't fully digested the ramifications.

Richard



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...
Sorry if this is duplicated - I seem to have
a problem with sending mail.

On Mon, 2009-10-05 at 11:16 -0700, Jon Kirwan wrote:

> I just don't like a keyboard and 7-seg display system. If I accepted
> that much, I'd probably go with the bootstrap rom and a serial port.
> That way I can use whatever I have handy and it doesn't take up much
> space, inherently, and I get to use real characters and not just what
> I'm stuck with regarding the 7-seg displays (not much, really.) Plus,
> I can add function, easily, without changing hardware.

ECO32 has exactly that: a bootstrap ROM (stored in
the Flash on the XESS board that also holds the
bitstring to initialize the FPGA) communicating to
a terminal (or a terminal emulation) over a serial
line. There are commands to read and alter register
contents as well as memory locations, set a breakpoint,
single-step instructions, run a program, boot from
the IDE disk, inspect and modify the TLB contents,
and other useful stuff for bringing up a new system.

Hellwig



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...
On Mon, 2009-10-05 at 11:16 -0700, Jon Kirwan wrote:

> I just don't like a keyboard and 7-seg display system. If I accepted
> that much, I'd probably go with the bootstrap rom and a serial port.
> That way I can use whatever I have handy and it doesn't take up much
> space, inherently, and I get to use real characters and not just what
> I'm stuck with regarding the 7-seg displays (not much, really.) Plus,
> I can add function, easily, without changing hardware.

ECO32 has exactly that: a bootstrap ROM (stored in
the Flash on the XESS board that also holds the
bitstring to initialize the FPGA) communicating to
a terminal (or a terminal emulation) over a serial
line. There are commands to read and alter register
contents as well as memory locations, set a breakpoint,
single-step instructions, run a program, boot from
the IDE disk, inspect and modify the TLB contents,
and other useful stuff for bringing up a new system.

Hellwig



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...
Jon, Richard, Hellwig, Andreas, and others,

You might like to check out Henk Gooijen's PDP-11 web site. I think he
might have been machining his own front panels ... I'm not 100% sure.

http://www.pdp-11.nl/

John.

Jon Kirwan wrote:
>
> I'm wondering where one might come across an old PDP-11/45 or
> PDP-11/70 front panel. The switch system, at the very least, would be
> nice to have. Probably hard to come across, though.
>
> Jon
>
>

--
http://www.johnkent.com.au
http://members.optusnet.com.au/jekent



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...