EmbeddedRelated.com
Forums
Memfault State of IoT Report

Beginning with FGPA

Started by "reu...@bellsouth.net" January 8, 2014
On 1/8/2014 7:10 PM, George Gallant wrote:
> Ben,
>
> I know nothing about AHDL. However the input to the FPGA
> is a binary bit file that is vendor specific and essentially asserts
> a 1 or 0 on a memory cell. Multiple megabits per design. If you
> can understand that I'm sure the chip manufacturers want to talk
> to you.

Still waiting on doc's for that. I work best with nice schematic.
Vendors don't give out schematics of their chips.

> George

I am not round peg for square hole,I am more like the triangular thingy.
Ben.



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...Yahoo Groups Links

<*> To visit your group on the web, go to:
http://groups.yahoo.com/group/fpga-cpu/

<*> Your email settings:
Individual Email | Traditional

<*> To change settings online go to:
http://groups.yahoo.com/group/fpga-cpu/join
(Yahoo! ID required)

<*> To change settings via email:
f...
f...

<*> To unsubscribe from this group, send an email to:
f...

<*> Your use of Yahoo Groups is subject to:
http://info.yahoo.com/legal/us/yahoo/utos/terms/
I recommend a Xilinx Spartan system. Some are as low cost as $50.

The Xilinx WIndows-based WebPack development tools are still free (I think).

However, find the Xilinx QST.pdf (Quick Start) for the board that you get. It is somewhat "cookbook" but that is what you need starting out. Otherwise, the learning curve is too steep.

I find that simulators are usually not worth the trouble to use, if you have the hardware that you need for your project. In VHDL, there are subtle and non-trival differences between Simulation and Synthesis that can trip you up.

Simulators made more sense when the development kits were expensive, or on large multi-person projects. With FPGA, you are building hardware, why simulate hardware with software? Just build it in VHDL and not worry about differences between the simulation and actual FPGA.

One of the hardest conceptual points for VHDL is that you are not writing software code. You are writing hardware descriptions that look like software code.

The book on VHDL synthesis by Yalamanchili seems to explain this different mind-set approach very well. It is also a good general VHDL reference.

-Steve Younger

On Jan 8, 2014, at 12:21 , r...@bellsouth.net wrote:

> Hello,
> I am a senior in high school and have been studying ALU and CPU design independently. I have just read "Bebop Bytes Back" and have just purchased a book titled "HDL Chip Design". Starting with FPGA's was recommended to me, but I was overwhelmed after trying to choose a board. Would anyone be able to recommend a board for a beginner, one with a generous amount of tools (switches, 7-segment display etc.) but one that does not take away from the experience by having many of the difficult aspects of building a CPU previously completed. Thank you very much and I appreciate any input.
>
>
> To post a message, send it to: f...
> To unsubscribe, send a blank message to: f...Yahoo Groups Links
>



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...Yahoo Groups Links

<*> To visit your group on the web, go to:
http://groups.yahoo.com/group/fpga-cpu/

<*> Your email settings:
Individual Email | Traditional

<*> To change settings online go to:
http://groups.yahoo.com/group/fpga-cpu/join
(Yahoo! ID required)

<*> To change settings via email:
f...
f...

<*> To unsubscribe from this group, send an email to:
f...

<*> Your use of Yahoo Groups is subject to:
http://info.yahoo.com/legal/us/yahoo/utos/terms/
You might want to consider a small, simple, inexpensive board to start with. You can always get a fancier board later. There are several boards offered at Kickstarter that can be stand alone or combined with a CPU board like the rPi or the Arduino. Here is one that is stand alone and only costs $55.

http://www.kickstarter.com/projects/1106670630/mojo-digital-design-for-the-hobbyist?ref=live

As to design methods, not using simulation shows a lack of understanding of how to use an HDL or even FPGAs really. I am a professional and have done many, many designs and I never skimp on simulation. As a result there are many times that my design works 100% the first time I fire it up on the bench. If you are doing a home brew project then it doesn't matter so much that it works 100% I guess.

On the other hand, no one is perfect. I forgot a very basic design rule on a test fixture recently and as a result had a bug that took a lot of work to track down. lol

Rick
On 1/8/2014 10:01 PM, g...@arius.com wrote:
> You might want to consider a small, simple, inexpensive board to start
> with. You can always get a fancier board later. There are several
> boards offered at Kickstarter that can be stand alone or combined with a
> CPU board like the rPi or the Arduino. Here is one that is stand alone
> and only costs $55.
>
> http://www.kickstarter.com/projects/1106670630/mojo-digital-design-for-the-hobbyist?ref=live
>
> As to design methods, not using simulation shows a lack of understanding
> of how to use an HDL or even FPGAs really.

I see it as writing good software. I don't claim to do so
but OLD SCHOOL PROGRAMIMG requires proper code or hardware
documentation. If the module has a bug, then A) typo,
B) Mistake in logic, C) Undefined behavor for undefined logic.
I am a professional and have
> done many, many designs and I never skimp on simulation. As a result
> there are many times that my design works 100% the first time I fire it
> up on the bench.

Right now I need to understand the FPGA board I am using. Once that is
done I can then spend time on my logic rather trying to fit other logic
into my design. I got the DE1 because it has static ram, easy to get
up and runing. It was worth the extra $20.I still need to learn the
tools, but having good docmentaon is needed. The DEx boards don't have
it and expect your $55 board has less.
If you are doing a home brew project then it doesn't
> matter so much that it works 100% I guess.

With a homebrew, you get that last % when you feel like, not at
8:45 pm a day before some deline.

>
> On the other hand, no one is perfect. I forgot a very basic design rule
> on a test fixture recently and as a result had a bug that took a lot of
> work to track down. lol

The hardest bug I have, is software silently removing logic often do to
a module
change.
> Rick



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...Yahoo Groups Links

<*> To visit your group on the web, go to:
http://groups.yahoo.com/group/fpga-cpu/

<*> Your email settings:
Individual Email | Traditional

<*> To change settings online go to:
http://groups.yahoo.com/group/fpga-cpu/join
(Yahoo! ID required)

<*> To change settings via email:
f...
f...

<*> To unsubscribe from this group, send an email to:
f...

<*> Your use of Yahoo Groups is subject to:
http://info.yahoo.com/legal/us/yahoo/utos/terms/
--- In f..., "reubs@..." wrote:
>
> Hello,
> I am a senior in high school and have been studying ALU and CPU design independently. I have just read "Bebop Bytes Back" and have just purchased a book titled "HDL Chip Design". Starting with FPGA's was recommended to me, but I was overwhelmed after trying to choose a board. Would anyone be able to recommend a board for a beginner, one with a generous amount of tools (switches, 7-segment display etc.) but one that does not take away from the experience by having many of the difficult aspects of building a CPU previously completed. Thank you very much and I appreciate any input.
>

There are two boards I really like (which means I have multiple copies). First is the Digilent Inc Spartan 3 Starter Board.
http://digilentinc.com/Products/Detail.cfm?NavPath=2,400,799&Prod=S3BOARD
I prefer to pay the $50 upcharge for the 1000k gates version because my computer core takes about 300k gates (sloppy design).

The board I am using now is the Digilent Inc Nexys 2 again with the $40 upcharge for the 1200k gate version.
http://digilentinc.com/Products/Detail.cfm?NavPath=2,400,789&Prod=NEXYS2

The Nexys 2 has Digilent's onboard programmer which, coupled with their Adept software, makes device programming possible with just a USB cable (which also powers the board).

There are cheaper boards, I suppose, but I have enjoyed working with these for the last few years. I like the Starter Board for the amount of IO pins but the Nexys 2 is easier to program. Also, the Adept software provides a way to create a bidirectional data stream between the PC and the FPGA.

Either way, about $200.

A completely different approach is the $49 BeMicro FPGA Evaluation Board:
http://www.altera.com/b/nios-bemicro-evaluation-kit.html

This device plugs into a USB port and you're ready to go. The entire NIOS SOC will fit and run C code. I think getting IO off the device might be a challenge.

Richard



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...Yahoo Groups Links

<*> To visit your group on the web, go to:
http://groups.yahoo.com/group/fpga-cpu/

<*> Your email settings:
Individual Email | Traditional

<*> To change settings online go to:
http://groups.yahoo.com/group/fpga-cpu/join
(Yahoo! ID required)

<*> To change settings via email:
f...
f...

<*> To unsubscribe from this group, send an email to:
f...

<*> Your use of Yahoo Groups is subject to:
http://info.yahoo.com/legal/us/yahoo/utos/terms/
---In f..., wrote:

On 1/8/2014 10:01 PM, gnuarm.2006@... mailto:gnuarm.2006@... wrote:
> You might want to consider a small, simple, inexpensive board to start
> with. You can always get a fancier board later. There are several
> boards offered at Kickstarter that can be stand alone or combined with a
> CPU board like the rPi or the Arduino. Here is one that is stand alone
> and only costs $55.
>
> http://www.kickstarter.com/projects/1106670630/mojo-digital-design-for-the-hobbyist?ref=live http://www.kickstarter.com/projects/1106670630/mojo-digital-design-for-the-hobbyist?ref=live
>
> As to design methods, not using simulation shows a lack of understanding
> of how to use an HDL or even FPGAs really.

I see it as writing good software. I don't claim to do so
but OLD SCHOOL PROGRAMIMG requires proper code or hardware
documentation. If the module has a bug, then A) typo,
B) Mistake in logic, C) Undefined behavor for undefined logic.

I don't want to debate FPGA design in this thread, if you wish to do that, let's start another thread.

> I am a professional and have
> done many, many designs and I never skimp on simulation. As a result
> there are many times that my design works 100% the first time I fire it
> up on the bench.

Right now I need to understand the FPGA board I am using. Once that is
done I can then spend time on my logic rather trying to fit other logic
into my design. I got the DE1 because it has static ram, easy to get
up and runing. It was worth the extra $20.I still need to learn the
tools, but having good docmentaon is needed. The DEx boards don't have
it and expect your $55 board has less.

I'm a little confused. Are you the OP? I was responding to the OP's request for a board for a beginner. If you need more that's fine. I'm just not sure who asked the original question.

> If you are doing a home brew project then it doesn't
> matter so much that it works 100% I guess.

With a homebrew, you get that last % when you feel like, not at
8:45 pm a day before some deline.

You seem to have a fairly negative attitude about development. I use simulation because it is the *easiest* way to debug an FPGA. I can see any signal inside the device I wish and I can repeat all sorts of tests without any equipment. But like I said, let's open a new thread rather than hijack this one.

>
> On the other hand, no one is perfect. I forgot a very basic design rule
> on a test fixture recently and as a result had a bug that took a lot of
> work to track down. lol

The hardest bug I have, is software silently removing logic often do to
a module change.

Development software doesn't remove logic silently and it doesn't remove logic that is actually used. The only logic that is removed is logic that does not connect to an output. Without a connection to the outside world there is no way for it to affect anything so why keep it in. The tools *always* give notes or warnings about removed logic. The problem is there are so many such warnings that are to be expected because logic is removed that is not needed such as carries out of an adder chain when that carry out is not described in the code. The adder drags the carry chain into the design and the carry out is then removed because it is not used. I may not be properly remembering this exactly, but that is the problem I have, too many warnings that must be scanned for important warnings.

BTW, I used to hate Google groups with a passion. Yahoo groups has risen to the same level. I have no idea why they had to "update" their web pages and make them so much harder to use.

Rick
Don't use AHDL, it's bad.
Use VHDL or Verilog, they are industry and academic standard. Yes you do
know the code it is going to generate, read the Pong P. Chu Book "RTL
Hardware desing using VHDL"
On Thu, Jan 9, 2014 at 6:18 AM, wrote:

> ---In f..., wrote:
>
> On 1/8/2014 10:01 PM, gnuarm.2006@... wrote:
>
> > You might want to consider a small, simple, inexpensive board to start
> > with. You can always get a fancier board later. There are several
> > boards offered at Kickstarter that can be stand alone or combined with a
> > CPU board like the rPi or the Arduino. Here is one that is stand alone
> > and only costs $55.
> >
> >
> http://www.kickstarter.com/projects/1106670630/mojo-digital-design-for-the-hobbyist?ref=live
> >
> > As to design methods, not using simulation shows a lack of understanding
> > of how to use an HDL or even FPGAs really.
>
> I see it as writing good software. I don't claim to do so
> but OLD SCHOOL PROGRAMIMG requires proper code or hardware
> documentation. If the module has a bug, then A) typo,
> B) Mistake in logic, C) Undefined behavor for undefined logic.
> I don't want to debate FPGA design in this thread, if you wish to do that,
> let's start another thread.
> > I am a professional and have
>
> > done many, many designs and I never skimp on simulation. As a result
> > there are many times that my design works 100% the first time I fire it
> > up on the bench.
>
> Right now I need to understand the FPGA board I am using. Once that is
> done I can then spend time on my logic rather trying to fit other logic
> into my design. I got the DE1 because it has static ram, easy to get
> up and runing. It was worth the extra $20.I still need to learn the
> tools, but having good docmentaon is needed. The DEx boards don't have
> it and expect your $55 board has less.
>
> I'm a little confused. Are you the OP? I was responding to the OP's
> request for a board for a beginner. If you need more that's fine. I'm
> just not sure who asked the original question.
> > If you are doing a home brew project then it doesn't
>
> > matter so much that it works 100% I guess.
>
> With a homebrew, you get that last % when you feel like, not at
> 8:45 pm a day before some deline.
>
> You seem to have a fairly negative attitude about development. I use
> simulation because it is the *easiest* way to debug an FPGA. I can see any
> signal inside the device I wish and I can repeat all sorts of tests without
> any equipment. But like I said, let's open a new thread rather than hijack
> this one.
>
> >
> > On the other hand, no one is perfect. I forgot a very basic design rule
> > on a test fixture recently and as a result had a bug that took a lot of
> > work to track down. lol
>
> The hardest bug I have, is software silently removing logic often do to
> a module change.
>
> Development software doesn't remove logic silently and it doesn't remove
> logic that is actually used. The only logic that is removed is logic that
> does not connect to an output. Without a connection to the outside world
> there is no way for it to affect anything so why keep it in. The tools
> *always* give notes or warnings about removed logic. The problem is there
> are so many such warnings that are to be expected because logic is removed
> that is not needed such as carries out of an adder chain when that carry
> out is not described in the code. The adder drags the carry chain into the
> design and the carry out is then removed because it is not used. I may not
> be properly remembering this exactly, but that is the problem I have, too
> many warnings that must be scanned for important warnings.
>
> BTW, I used to hate Google groups with a passion. Yahoo groups has risen
> to the same level. I have no idea why they had to "update" their web pages
> and make them so much harder to use.
>
> Rick
>
>
>

--
Att
Andr
On 1/9/2014 3:53 AM, AndrPrado wrote:
> Don't use AHDL, it's bad.
> Use VHDL or Verilog, they are industry and academic standard. Yes you do
> know the code it is going to generate, read the Pong P. Chu Book "RTL
> Hardware desing using VHDL"

I really don't see how it is bad?
Not portable is the only bad feature I see.Good documentation
solves that. FPGA's all seem to be upgraded with non standard features
any how so your compiler has to be revised for every new chip.

If I want to have a netlist generated say with FORTRAN IV it my
choice. Like they say with STANDARD'"you have so many to chose from."
Is there even a standard netlist format even?
Ben.



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...Yahoo Groups Links

<*> To visit your group on the web, go to:
http://groups.yahoo.com/group/fpga-cpu/

<*> Your email settings:
Individual Email | Traditional

<*> To change settings online go to:
http://groups.yahoo.com/group/fpga-cpu/join
(Yahoo! ID required)

<*> To change settings via email:
f...
f...

<*> To unsubscribe from this group, send an email to:
f...

<*> Your use of Yahoo Groups is subject to:
http://info.yahoo.com/legal/us/yahoo/utos/terms/
--- In f..., ben wrote:
>
> On 1/9/2014 3:53 AM, AndrPrado wrote:
> > Don't use AHDL, it's bad.
> > Use VHDL or Verilog, they are industry and academic standard. Yes you do
> > know the code it is going to generate, read the Pong P. Chu Book "RTL
> > Hardware desing using VHDL"
>
> I really don't see how it is bad?
> Not portable is the only bad feature I see.Good documentation
> solves that. FPGA's all seem to be upgraded with non standard features
> any how so your compiler has to be revised for every new chip.

There is a tendency to want portability over just about everything else. Code reuse is paramount. In every language.

Here is a nice PDP11/40 project:
http://labo.nshimizu.com/pop11.html

Unfortunately, the author used his own descriptive language 'sfl' and it appears that there may not be a 'free' translator. I really like the language but I hate that I can't use it.

It's an elegant language and design. But it won't work for me...

Richard



To post a message, send it to: f...
To unsubscribe, send a blank message to: fpga-cpu-unsubscribeYahoo Groups Links

<*> To visit your group on the web, go to:
http://groups.yahoo.com/group/fpga-cpu/

<*> Your email settings:
Individual Email | Traditional

<*> To change settings online go to:
http://groups.yahoo.com/group/fpga-cpu/join
(Yahoo! ID required)

<*> To change settings via email:
f...
f...

<*> To unsubscribe from this group, send an email to:
f...

<*> Your use of Yahoo Groups is subject to:
http://info.yahoo.com/legal/us/yahoo/utos/terms/
--- In f..., "reubs@..." wrote:
>
> Hello,
> I am a senior in high school and have been studying ALU and CPU design independently. I have just read "Bebop Bytes Back" and have just purchased a book titled "HDL Chip Design". Starting with FPGA's was recommended to me, but I was overwhelmed after trying to choose a board. Would anyone be able to recommend a board for a beginner, one with a generous amount of tools (switches, 7-segment display etc.) but one that does not take away from the experience by having many of the difficult aspects of building a CPU previously completed. Thank you very much and I appreciate any input.
>

The CPU design is the fun part. If you want to pursue it in a structured manner, consider "Microprocessor Design Using Verilog HDL". The author builds a Z80 microprocessor using Excel spreadsheets. It is a very structured approach. Nice...

There is another book "Computer Architecture" by Caxton C Foster that is available at Alibris.com. The book is 40 years out of date. Except... There is a complete description and logic design for a 16 bit 4k word minicomputer that might have been sold in the late '60s or early '70s. It's a trivial machine but the design is complete. A little rework in the start/stop logic and the thing could be easily ported to a Spartan 3 Starter Board.

So, one of the electronics gurus did just that.
http://www.youtube.com/watch?v=dt4zezZP8w8

There used to be a web site blue.hotsolder.com that had the complete design. It doesn't seem to be available so, if you are interested, contact Al Williams at hotsolder.com and see what's happening. I have a copy of the distribution.

His design adds a BUNCH of new instructions and registers. In addition, and this is a BIG deal, he wrote an assembler for it. In the end, these projects are worthless without a way to program them.

That BeMicro project even has a C compiler:
http://www.altera.com/b/nios-bemicro-evaluation-kit.html
For $50, there is a lot of education in this project. Unfortunately, it all works! There isn't a lot to learn and it's certainly not like rolling your own.

Just get real good at designing finite state machines. This whole FPGA thing is all about FSAs. And some combinatorial logic...

Richard



To post a message, send it to: f...
To unsubscribe, send a blank message to: f...Yahoo Groups Links

<*> To visit your group on the web, go to:
http://groups.yahoo.com/group/fpga-cpu/

<*> Your email settings:
Individual Email | Traditional

<*> To change settings online go to:
http://groups.yahoo.com/group/fpga-cpu/join
(Yahoo! ID required)

<*> To change settings via email:
f...
f...

<*> To unsubscribe from this group, send an email to:
f...

<*> Your use of Yahoo Groups is subject to:
http://info.yahoo.com/legal/us/yahoo/utos/terms/

Memfault State of IoT Report