EmbeddedRelated.com
Forums

Low cost solution to program Spartan 3AN DSP development board AES-SPEEDWAY-S3ADSP-SK Opzioni

Started by Etantonio July 11, 2008
I'm trying to renew my experience on fpga so last week I buy a
Spartan 3AN DSP development board
AES-SPEEDWAY-S3ADSP-SK from Silica for about 235euro.
But I've not the cable to program it, so I ask to Silica that suggest
me the
http://www.xilinx.com/products/devkits/HW-USB-G.htm
for 140 euros but my budget for personal training is ended, can you
suggest me some cheaper solution to program that board ??
Many Thanks

Antonio D'Ottavio
www.etantonio.it
On 11 juuli, 12:07, Etantonio <etanto...@gmail.com> wrote:
> I'm trying to renew my experience on fpga so last week I buy a > Spartan 3AN DSP development board > AES-SPEEDWAY-S3ADSP-SK from Silica for about 235euro. > But I've not the cable to program it, so I ask to Silica that suggest > me thehttp://www.xilinx.com/products/devkits/HW-USB-G.htm > for 140 euros but my budget for personal training is ended, can you > suggest me some cheaper solution to program that board ?? > Many Thanks > > Antonio D'Ottaviowww.etantonio.it
for real diy-hards the 0 cost solution is 5 wires to LPT port, but if you are no so confident with that (and you are not as you are asking for the advice), then well there are cheaper solutions, but at the end of the day you spend more in frustration, etc.. but when buying Xilinx own cable then get USB CABLE II, not the old one, the price difference is some 20 EUR but i think it makes sense the usb cable II is based on Spartan-3A internally not CPLD any more, so should you one day feel like doing some usb-FPGA development you could reuse the cable II as USB-FPGA dev platform :) so i would advice to get cable II, if it really is out of budget, you could just forget the FPGA stuff completly, sometimes it just doesnt pay off to save the last penny on development tools Antti Lukats cheaper solutions 1) LPT or USB cable not xilinx compatible --- DO NOT USE because you would not be able to use chipscope 2) china clones of xilinx usb cable (at least 2 different models available) are cheaper but hard to get at low price
On Fri, 11 Jul 2008 02:07:50 -0700 (PDT), Etantonio
<etantonio@gmail.com> wrote:

>I'm trying to renew my experience on fpga so last week I buy a >Spartan 3AN DSP development board >AES-SPEEDWAY-S3ADSP-SK from Silica for about 235euro. >But I've not the cable to program it, so I ask to Silica that suggest >me the >http://www.xilinx.com/products/devkits/HW-USB-G.htm >for 140 euros but my budget for personal training is ended, can you >suggest me some cheaper solution to program that board ??
Digilent has inexpensive Xilinx JTAG cables, with parallel or USB interfaces. Not sure how much shipping cost may impact... They also include programming cables in many of their development kits, for another option. <http://www.digilentinc.com/Products/Catalog.cfm?Nav1=Products&Nav2=Cables&Cat=Cable> -- Rich Webb Norfolk, VA
On 11 juuli, 15:48, Rich Webb <bbew...@mapson.nozirev.ten> wrote:
> On Fri, 11 Jul 2008 02:07:50 -0700 (PDT), Etantonio > > <etanto...@gmail.com> wrote: > >I'm trying to renew my experience on fpga so last week I buy a > >Spartan 3AN DSP development board > >AES-SPEEDWAY-S3ADSP-SK from Silica for about 235euro. > >But I've not the cable to program it, so I ask to Silica that suggest > >me the > >http://www.xilinx.com/products/devkits/HW-USB-G.htm > >for 140 euros but my budget for personal training is ended, can you > >suggest me some cheaper solution to program that board ?? > > Digilent has inexpensive Xilinx JTAG cables, with parallel or USB > interfaces. Not sure how much shipping cost may impact... > > They also include programming cables in many of their development kits, > for another option. > > <http://www.digilentinc.com/Products/Catalog.cfm?Nav1=3DProducts&Nav2=3DC=
a...>
> > -- > Rich Webb =A0 =A0 Norfolk, VA
YES but they are not compatible with Chipscope and other xilinx tools so at the end its waste of money Antti

Antti wrote:
> On 11 juuli, 15:48, Rich Webb <bbew...@mapson.nozirev.ten> wrote: > >>On Fri, 11 Jul 2008 02:07:50 -0700 (PDT), Etantonio >> >><etanto...@gmail.com> wrote: >> >>>I'm trying to renew my experience on fpga so last week I buy a >>>Spartan 3AN DSP development board >>>AES-SPEEDWAY-S3ADSP-SK from Silica for about 235euro. >>>But I've not the cable to program it, so I ask to Silica that suggest >>>me the >>>http://www.xilinx.com/products/devkits/HW-USB-G.htm >>>for 140 euros but my budget for personal training is ended, can you >>>suggest me some cheaper solution to program that board ?? >> >>Digilent has inexpensive Xilinx JTAG cables, with parallel or USB >>interfaces. Not sure how much shipping cost may impact... >> >>They also include programming cables in many of their development kits, >>for another option. >> >><http://www.digilentinc.com/Products/Catalog.cfm?Nav1=Products&Nav2=Ca...> >> >>-- >>Rich Webb Norfolk, VA > > > YES but they are not compatible with Chipscope and other xilinx tools > so at the end its waste of money > > Antti
I disagree they are a waste of money - especially for a newbie. If you don't need to use Chipscope and just want to program an FPGA, they do just fine. Or, they have for me. In fact, I find the Digilent software easier to use than IMPACT.
On 12 juuli, 02:05, Paul Urbanus <urbpub...@hotmail.com> wrote:
> Antti wrote: > > On 11 juuli, 15:48, Rich Webb <bbew...@mapson.nozirev.ten> wrote: > > >>On Fri, 11 Jul 2008 02:07:50 -0700 (PDT), Etantonio > > >><etanto...@gmail.com> wrote: > > >>>I'm trying to renew my experience on fpga so last week I buy a > >>>Spartan 3AN DSP development board > >>>AES-SPEEDWAY-S3ADSP-SK from Silica for about 235euro. > >>>But I've not the cable to program it, so I ask to Silica that suggest > >>>me the > >>>http://www.xilinx.com/products/devkits/HW-USB-G.htm > >>>for 140 euros but my budget for personal training is ended, can you > >>>suggest me some cheaper solution to program that board ?? > > >>Digilent has inexpensive Xilinx JTAG cables, with parallel or USB > >>interfaces. Not sure how much shipping cost may impact... > > >>They also include programming cables in many of their development kits, > >>for another option. > > >><http://www.digilentinc.com/Products/Catalog.cfm?Nav1=3DProducts&Nav2=
=3DCa...>
> > >>-- > >>Rich Webb =A0 =A0 Norfolk, VA > > > YES but they are not compatible with Chipscope and other xilinx tools > > so at the end its waste of money > > > Antti > > I disagree they are a waste of money - especially for a newbie. If you > don't need to use Chipscope and just want to program an FPGA, they do > just fine. Or, they have for me. In fact, I find the Digilent software > easier to use than IMPACT.
well, my opinion WAS and IS that impact is not software byght nightmare :) and I do NOT like the closed protocol Xilinx solutions, so sure the other cheap solutions CAN be used, but if you have already invested for started the S3A DSP kit what is defenetly not the cheapest for the newbie then i would say the USB cable make sense as well, as it is supported by all Xilinx tools if Chipscope saves you once a few hours of frustration, then the extra price paid for the usb cable is already paid back for you. I did partially RE the chipscope server protocol, and I know it would be possible to make CS server that connects to chipscope analyzer and would support 3rd party hardware, but well I had no time to finish it, and so far has nobodody else done it either. Antti
On Fri, 11 Jul 2008 08:48:01 -0400, Rich Webb
<bbew.ar@mapson.nozirev.ten> wrote:

>On Fri, 11 Jul 2008 02:07:50 -0700 (PDT), Etantonio ><etantonio@gmail.com> wrote: > >>I'm trying to renew my experience on fpga so last week I buy a >>Spartan 3AN DSP development board >>AES-SPEEDWAY-S3ADSP-SK from Silica for about 235euro. >>But I've not the cable to program it, so I ask to Silica that suggest >>me the >>http://www.xilinx.com/products/devkits/HW-USB-G.htm >>for 140 euros but my budget for personal training is ended, can you >>suggest me some cheaper solution to program that board ?? > >Digilent has inexpensive Xilinx JTAG cables, with parallel or USB >interfaces. Not sure how much shipping cost may impact... > >They also include programming cables in many of their development kits, >for another option. > ><http://www.digilentinc.com/Products/Catalog.cfm?Nav1=Products&Nav2=Cables&Cat=Cable> > >-- >Rich Webb Norfolk, VA
Thank you Rich, I'm interested in this as well, but I wonder if there is a Macintosh solution? Digilent's free software is for Windows.
On Fri, 18 Jul 2008 21:25:01 GMT, no.spam@gte.net (Scott Gravenhorst)
wrote:

>On Fri, 11 Jul 2008 08:48:01 -0400, Rich Webb ><bbew.ar@mapson.nozirev.ten> wrote: > >>On Fri, 11 Jul 2008 02:07:50 -0700 (PDT), Etantonio >><etantonio@gmail.com> wrote: >> >>>I'm trying to renew my experience on fpga so last week I buy a >>>Spartan 3AN DSP development board >>>AES-SPEEDWAY-S3ADSP-SK from Silica for about 235euro. >>>But I've not the cable to program it, so I ask to Silica that suggest >>>me the >>>http://www.xilinx.com/products/devkits/HW-USB-G.htm >>>for 140 euros but my budget for personal training is ended, can you >>>suggest me some cheaper solution to program that board ?? >> >>Digilent has inexpensive Xilinx JTAG cables, with parallel or USB >>interfaces. Not sure how much shipping cost may impact... >> >>They also include programming cables in many of their development kits, >>for another option. >> >><http://www.digilentinc.com/Products/Catalog.cfm?Nav1=Products&Nav2=Cables&Cat=Cable> >> >>-- >>Rich Webb Norfolk, VA > >Thank you Rich, > >I'm interested in this as well, but I wonder if there is a Macintosh >solution? Digilent's free software is for Windows.
From http://www.xilinx.com/ise/ossupport/index.htm it looks like the Xilinx software is Windows/Linux only, so you may need a dual-boot solution to go that route. As far as the programming cables, from <http://www.digilentinc.com/Support/FAQs.cfm?Board=All&QuestionType=New+Board+Configuration+%2F+ISE%E2%84%A2+and+AVR+Programming+Software> "The Xilinx iMPACT tool is compatible with any Xilinx programming cables, the Xilinx USB interface, and the Digilent parallel port JTAG cable. The Digilent Adept Suite software is compatible with the Digilent parallel port JTAG cable and the Digilent USB interface. Xilinx and Digilent both have USB interfaces, but they are incompatible." So the relatively inexpensive parallel port JTAG3 cable could be used. -- Rich Webb Norfolk, VA
On Fri, 18 Jul 2008 19:21:22 -0400, Rich Webb
<bbew.ar@mapson.nozirev.ten> wrote:

>On Fri, 18 Jul 2008 21:25:01 GMT, no.spam@gte.net (Scott Gravenhorst) >wrote: > >>On Fri, 11 Jul 2008 08:48:01 -0400, Rich Webb >><bbew.ar@mapson.nozirev.ten> wrote: >> >>>On Fri, 11 Jul 2008 02:07:50 -0700 (PDT), Etantonio >>><etantonio@gmail.com> wrote: >>> >>>>I'm trying to renew my experience on fpga so last week I buy a >>>>Spartan 3AN DSP development board >>>>AES-SPEEDWAY-S3ADSP-SK from Silica for about 235euro. >>>>But I've not the cable to program it, so I ask to Silica that suggest >>>>me the >>>>http://www.xilinx.com/products/devkits/HW-USB-G.htm >>>>for 140 euros but my budget for personal training is ended, can you >>>>suggest me some cheaper solution to program that board ?? >>> >>>Digilent has inexpensive Xilinx JTAG cables, with parallel or USB >>>interfaces. Not sure how much shipping cost may impact... >>> >>>They also include programming cables in many of their development kits, >>>for another option. >>> >>><http://www.digilentinc.com/Products/Catalog.cfm?Nav1=Products&Nav2=Cables&Cat=Cable> >>> >>>-- >>>Rich Webb Norfolk, VA >> >>Thank you Rich, >> >>I'm interested in this as well, but I wonder if there is a Macintosh >>solution? Digilent's free software is for Windows. > >From http://www.xilinx.com/ise/ossupport/index.htm it looks like the >Xilinx software is Windows/Linux only, so you may need a dual-boot >solution to go that route. > >As far as the programming cables, from ><http://www.digilentinc.com/Support/FAQs.cfm?Board=All&QuestionType=New+Board+Configuration+%2F+ISE%E2%84%A2+and+AVR+Programming+Software> >"The Xilinx iMPACT tool is compatible with any Xilinx programming >cables, the Xilinx USB interface, and the Digilent parallel port JTAG >cable. The Digilent Adept Suite software is compatible with the Digilent >parallel port JTAG cable and the Digilent USB interface. Xilinx and >Digilent both have USB interfaces, but they are incompatible." So the >relatively inexpensive parallel port JTAG3 cable could be used. > >-- >Rich Webb Norfolk, VA
Thanks again, So I just use the Adept software instead of iMPACT? That doesn't sound horribly burdensome. I'd prefer iMPACT just because it fires up and programs the device automagically if you want, but I could create the .bit file with ISE and send it with Adept - or am I reading this wrong? My interest is specifically for the ExtremeDSP Spartan-3A DSP board. I'd like to be able to work from either Windows or a Mac, but initially Windows is more important to me. Thank you for your help.
On Fri, 18 Jul 2008 23:57:14 GMT, no.spam@gte.net (Scott Gravenhorst)
wrote:

>Thanks again, So I just use the Adept software instead of iMPACT?
If you use a Digilent USB cable instead of the parallel port, yes. Note that they (Digilent) often toss in a parallel-style programming cable (the JTAG3) "for free" with their kits and that seems to be good with either Xilinx's or Digilent's JTAG device programming software.
>That doesn't sound horribly burdensome. I'd prefer iMPACT just >because it fires up and programs the device automagically if you want, >but I could create the .bit file with ISE and send it with Adept - or >am I reading this wrong?
IIRC, Adept can use .bit, .jed, .mcs, or .svf device files so it would be a two step process (erm, insofar as HDL --> device file could ever be considered a single step): create the .bit file then program the device with Adept. -- Rich Webb Norfolk, VA