EmbeddedRelated.com
Forums

display an image on VGA monitor

Started by bulub March 4, 2005


Dear all,
Did anybody use the vga generator example for XS40 boards on
www.xess.com before? Is that ready for use?
I would like to read data from the SRAM and output the image on the
VGA monitor. What should I do to the SRAM such that I can write data
to it and read data from it (I suppose the example vga generator on
xess can read data from SRAM)? Do I need extra vhdl source code to
control SRAM? I am a newbie to FPGA and am appreciated if any of
you could give me advices. Thank you very much.



bulub <> wrote:
Dear all,
Did anybody use the vga generator example for XS40 boards on
www.xess.com before? Is that ready for use?
I would like to read data from the SRAM and output the image on the
VGA monitor. What should I do to the SRAM such that I can write data
to it and read data from it (I suppose the example vga generator on
xess can read data from SRAM)? Do I need extra vhdl source code to
control SRAM? I am a newbie to FPGA and am appreciated if any of
you could give me advices. Thank you very much. To post a message, send it to:
To unsubscribe, send a blank message to:
Yahoo! Groups Links
hello there,

even i am also doin a project related to vga display. if u get the solution for that doubt plz inform abt that.

thank you

murali.

---------------------------------
Celebrate Yahoo!'s 10th Birthday!
Yahoo! Netrospective: 100 Moments of the Web



Well, it is ready to use, but it just generates VGA timing and outputs
the current pixel position.
Whatever data is displayed must be provided by extra circuitry.
If for example you build an old school game like Pong you would not have
a display memory at all.
If you build something like a atari 2600 you would only have display ram
for a single line.
If you build a chracter display you would have a byte for each character
and a character rom.
Additional to all these options there are many possible ways to organize
access of the vga controller and the user logic (CPU?)
to the display memory. So you really need to decide what you want and
build it yourself.

Sram access:
Internal ram has to independent ports so the vga controller can read
from one and the cpu can write to the other without any additional
control logic or constraints.
For external ram you need to alternate cpu and vga accesses.

Kolja Sulimma

lee swa wrote:

>bulub <> wrote: >
>Dear all,
>Did anybody use the vga generator example for XS40 boards on
>www.xess.com before? Is that ready for use?
>I would like to read data from the SRAM and output the image on the
>VGA monitor. What should I do to the SRAM such that I can write data
>to it and read data from it (I suppose the example vga generator on
>xess can read data from SRAM)? Do I need extra vhdl source code to
>control SRAM? I am a newbie to FPGA and am appreciated if any of
>you could give me advices. Thank you very much. >