EmbeddedRelated.com
The 2024 Embedded Online Conference

Verilog modeling.

Started by Artem in comp.arch.embedded19 years ago 1 reply

Hi all. I have a "Verilog Behavioral Model of Synchronous 128M SDRAM". I have a Quartus II. How I can use this model in this software? I have...

Hi all. I have a "Verilog Behavioral Model of Synchronous 128M SDRAM". I have a Quartus II. How I can use this model in this software? I have read in manual that verilog simulation is not supported by quartus.


Converting C-style include file to Verilog?

Started by Frank Miles in comp.arch.embedded19 years ago 4 replies

I have a system that includes both C (for a microprocessor) and Verilog code. Where these components interact, I would like to have a...

I have a system that includes both C (for a microprocessor) and Verilog code. Where these components interact, I would like to have a single source file from which certain relevant constants are derived. Initially, at least, I'm looking for a way to simply generate a Verilog file from a 'C' include file. This would be pretty straightforward except for the macros in C. (I wrote one but it...


VHDL/Verilog Book Recomendations?

Started by PagCal in comp.arch.embedded18 years ago 1 reply

What would be the best starter book for learning both VHDL and Verilog? As well, the book should talk about design techniques of CPLD's and...

What would be the best starter book for learning both VHDL and Verilog? As well, the book should talk about design techniques of CPLD's and FPGA's.


Icarus Verilog for Windows

Started by Pablo Bleyer Kocik in comp.arch.embedded20 years ago 3 replies

Hello people. I will be maintaining recent snapshots of the Icarus Verilog compiler for the Windows platform in easy to use installers...

Hello people. I will be maintaining recent snapshots of the Icarus Verilog compiler for the Windows platform in easy to use installers at http://armoid.com/icarus/. I have been doing this for more than a year now for the people in my company so I thought, what the heck, for the same effort I can benefit other users out there. If you have other free related goodies that can be posted th...


VHDL and Verilog - 15 x Contract Engineers Required Urgently - Long Term Contract

Started by Specialist Verilog Engineers Roles in comp.arch.embedded17 years ago 3 replies

My client is an award winning leader in their global field, and looking to expand their broadcast engineering team. If you are an engineer with...

My client is an award winning leader in their global field, and looking to expand their broadcast engineering team. If you are an engineer with strong Verilog VHDL experience then we would like to hear from you. C++ and FPGA experience would be a nice to have. If you want to be part of an unrivalled technical broadcast engineering team then please call us. We have 15 Long Term Contract roles ...


RTL for Z8000 series CPU?

Started by ajcrm125 in comp.arch.embedded18 years ago 41 replies

Hey guys, does anyone know where I can get VHDL/Verilog source for the Z8001/Z8002 processor? Thanks for any info! -Adam ajcrm125@gmail.com

Hey guys, does anyone know where I can get VHDL/Verilog source for the Z8001/Z8002 processor? Thanks for any info! -Adam ajcrm125@gmail.com


Test Driven Development (TDD) Framework for Embedded Systems

Started by Anonymous in comp.arch.embedded10 years ago 11 replies

Hi, We currently do most (all) our embedeed FW testing on either real HW (if available), using FPGA, or using HW simulator and Verilog...

Hi, We currently do most (all) our embedeed FW testing on either real HW (if available), using FPGA, or using HW simulator and Verilog models. We are looking at performing more testing on the "Host" rather than the target, and since this is new to our group, I am looking for suggestions and comments. BTW, we use C, currently we don't use an RTOS, but going forward, this is something that we wil...


What graphical entry/documentation tools?

Started by jamesp in comp.arch.embedded18 years ago 2 replies

Hi, I am a mature student will be doing some complex VHDL and Verilog design work for my course. As well as having to create and test the...

Hi, I am a mature student will be doing some complex VHDL and Verilog design work for my course. As well as having to create and test the functionality of the design (in both languages) I want to document how the design is put together and it's complex hierarchy. Is there anything out there that will allow me to represent my design in some sort of hierarchical functional blocks to us...


FIFO hdl code

Started by Anonymous in comp.arch.embedded19 years ago 4 replies

Hi, I need to stream audio data and control info I2C out of my PC into some external hardware and was thinking of using a FIFO to deal with the...

Hi, I need to stream audio data and control info I2C out of my PC into some external hardware and was thinking of using a FIFO to deal with the different clock boundaries. I was wondering if anyone had some startup verilog code on FIFOs, I am using a Xilinx FPGA Thanks Ryan (ryan.pinto79@gmail.com)


Configuring sccb for OV9650 cmos camera

Started by maximili in comp.arch.embedded14 years ago 3 replies

Hi all. I have just purchased the omnivision 9650 cmos camera for my project of image processing and i wish to interface the camera with...

Hi all. I have just purchased the omnivision 9650 cmos camera for my project of image processing and i wish to interface the camera with the Altera DE2 FPGA board. For this I need to write the configuration in verilog code program but I do not have a clue about how to write it. Can anyone here provide any guidance? Thanks a lot. --------------------------------------- This messa...


best way to simulate multi core architecture ?

Started by TheWhizKid in comp.arch.embedded19 years ago 6 replies

Hi guys, Please give me some suggestions ! 1. I need to make a cycle accurate simulator for a dual core cpu. How do I pass external events...

Hi guys, Please give me some suggestions ! 1. I need to make a cycle accurate simulator for a dual core cpu. How do I pass external events like interrupts from one core to another during the simulation ? 2. How does one make a "C" reference model talk to a verilog model during a simulation ? is "PLI" the only way ? Thanks thewhizkid


powerPC simulation

Started by san in comp.arch.embedded18 years ago 1 reply

Hello, am New to PCB board design cycle. Working on circuit having powerPC interfaced with nand flash,FPGA,DDR and ethernet ASIC. I have to do...

Hello, am New to PCB board design cycle. Working on circuit having powerPC interfaced with nand flash,FPGA,DDR and ethernet ASIC. I have to do the cycle accurate Functional simulation of the above circuit. How should i go for it? Queries: 1. Which tool i should use. 2. Do i need to convert schematics into verilog? 3. Do i need Models for all the components in the circuit. What is the p...


Software to generate jed file for PAL chips

Started by CFF in comp.arch.embedded20 years ago 7 replies

Hi, I need to program a couple of old small assorted brand PAL (including GAL) chips. Is there any free software tool that can generate...

Hi, I need to program a couple of old small assorted brand PAL (including GAL) chips. Is there any free software tool that can generate jed files from Verilog (or ABEL and schematic) entry so that I can export to a universal programmer for downloading purpose? Thanks for any help. CFF


Processor Selection for SoC

Started by moogyd in comp.arch.embedded15 years ago 10 replies

Hi, I am looking at selecting a processor for our SoC platform. Currently we use an embedded 8051 core (small, low power, low...

Hi, I am looking at selecting a processor for our SoC platform. Currently we use an embedded 8051 core (small, low power, low performance, cheap). For our next project, we need more performance, and we are also trying to create a platform suitable for all future projects. The CPU must be available as RTL (VHDL or Verilog) source. Obviously, there are lots of options - Faster 8051 ...


Does UART is inbuild in FPGA

Started by leenaselvam in comp.arch.embedded16 years ago 3 replies

Is there UART in FPGA or whether UART has to be connnect externally..and how can we send information from FPGA through UART to...

Is there UART in FPGA or whether UART has to be connnect externally..and how can we send information from FPGA through UART to Ethernet........can anyone give guidence for writing coding either in VHDL or in Verilog for sending information from FPGA to Ethernet through UART


interfacing a xilinx FPGA with a coldfire processor

Started by dargo in comp.arch.embedded15 years ago 1 reply

Hi, I'm looking for advice to implement on my FPGA (Xilinx SPARTAN 3A) a VHDL interface with an external COLDFIRE processor. Due to...

Hi, I'm looking for advice to implement on my FPGA (Xilinx SPARTAN 3A) a VHDL interface with an external COLDFIRE processor. Due to hardware considerations (not mine) I need to use 9 bits of address and 16 bits of datas, The following signals are available on my incoming pinout : TA, TEA, CS1, IRQ1 and R/W. Has anybody a clue where I can get some VHDL/Verilog code to help me? Thanks in adv...


free cpu 8051 verilog code

Started by Pinhas in comp.arch.embedded16 years ago 7 replies

http://bknpk.no-ip.biz/cpu_8051_ver/top.html # Stable Design: The design is translated from a VHDL dalton...

http://bknpk.no-ip.biz/cpu_8051_ver/top.html # Stable Design: The design is translated from a VHDL dalton project http://www.cs.ucr.edu/~dalton/i8051/i8051syn. # Small Design: Consumes only 324 Flip-Flops: map report # Fast Design: 50MHz for a xc4vlx25-10 XILINX device: timing report


Final Year Project in FPGA

Started by UETIAN in comp.arch.embedded18 years ago

Hello: I want to know about hot fields of working in FPGA.I am doing computer engineering and want to do FPGA related project.I also...

Hello: I want to know about hot fields of working in FPGA.I am doing computer engineering and want to do FPGA related project.I also want to learn about the sub fields in FPGA.I have a good command in verilog but nearly zero knowledge about FPGA's.Please give me data related with FPGA project selection and FPGA related projects.Any comments or answers are highly encouraged and apprec...


Software Defined Radio on Xilinx Virtex 4

Started by augu...@googlemail.com in comp.arch.embedded16 years ago 5 replies

Hello, Let me right again in this forum on the same topic. But know in English. Whatever my English is very poor. I am working for about 4...

Hello, Let me right again in this forum on the same topic. But know in English. Whatever my English is very poor. I am working for about 4 month's ego with an ML405 Xilinx Virtex 4 board and I wanted now to implement a Software Defined Radio (SDR). I understand everything that relates to SDR (theory, operation, Etc..) Very good. Likewise, I also understand VHDL and VERILOG. Now I would li...


Available: Detailed RISC CPU IP Core Design Documentation

Started by John Gulbrandsen in comp.arch.embedded17 years ago

Hello, I have put up an article on our web site that describes a RISC CPU IP Core that was created for one of our...

Hello, I have put up an article on our web site that describes a RISC CPU IP Core that was created for one of our clients: http://www.summitsoftconsulting.com/Pic10IpCore.htm The RISC IP Core is instruction-compatible with the Microchip PIC10F200-series of microcontrollers. Full design documentation is available as well as full Verilog source code (including a full set of test benches). ...



The 2024 Embedded Online Conference