EmbeddedRelated.com

Cypress EZ-USB FX Firmware Download

Started by Anonymous in comp.arch.embedded19 years ago 5 replies

I am designing a new USB device that contains the Cypress EZ-USB FX chip. I am trying to download some very simple/basic firmware code to the...

I am designing a new USB device that contains the Cypress EZ-USB FX chip. I am trying to download some very simple/basic firmware code to the EZ-USB FX chip through the Cypress USB Control Panel. Before I get too far, let me start this by saying that the device does enumerate as a Cypress EZ-USB - EEPROM Missing device. With that said, as soon as I select the .hex file to download firmwar...


Cypress EZ-USB FX2 firmware downloading

Started by galapogos in comp.arch.embedded15 years ago 6 replies

Hi, I have a Cypress EZ-USB FX2 USB mass storage device. AFAIK firmware is only allowed to be downloaded to either the external EEPROM...

Hi, I have a Cypress EZ-USB FX2 USB mass storage device. AFAIK firmware is only allowed to be downloaded to either the external EEPROM or internal RAM when the device either 1) Does not have an EEPROM, or 2) Has an empty EEPROM without any existing firmware When this happens, the host PC will load the Cypress generic driver(Cypress FX2 - No EEPROM(0x8613) rather than the default USB Ma...


Faster LIN or simpler CAN or ...? PIC16 or Cypress FX2LP or ...?

Started by Bill Davy in comp.arch.embedded18 years ago 7 replies

To support various modules inside a machine. The likely architecture is a front end PC talking USB 2.0 to a Cypress FX2LP in the machine. That...

To support various modules inside a machine. The likely architecture is a front end PC talking USB 2.0 to a Cypress FX2LP in the machine. That then splits the control work out to various nodes (spinner, motor, shutter, laser, etc), connected by a bus. a) CAN involves me in checking each message was received once and only once. There's not a lot of space in the Cypress for that sor...


Regarding Cypress USB CY7c68xx drivers

Started by Anonymous in comp.arch.embedded18 years ago 1 reply

hi, I would like to know where i can get the drivers for Cypress CY7C68xx. Are the drivers available from Cypress or should be written...

hi, I would like to know where i can get the drivers for Cypress CY7C68xx. Are the drivers available from Cypress or should be written by application itself. Thanks, Khaleel


Cypress USB FX2 Bulkloop sample application closes itself!. Solution?

Started by ja.....@.mail.com in comp.arch.embedded18 years ago 4 replies

Setup: Cypress EZ-USB FX2 Dev Board - CY3681 Firmware - Sample Cypress "bulkloop" firmware supplied Application - Sample Cypress 'bulkloop"...

Setup: Cypress EZ-USB FX2 Dev Board - CY3681 Firmware - Sample Cypress "bulkloop" firmware supplied Application - Sample Cypress 'bulkloop" applicaiton supplied Drivers - Supplied CyUSB driver for the bulkloop utility Sending data from PC to EP4OUT and looping it back in through EP8IN. Both EP4OUT and EP8IN are the default sizes. (As it is in the sample). Problem: Everything works fine...


Cypress USB FX device and Windows standby mode issues

Started by Max in comp.arch.embedded18 years ago 20 replies

If anybody out there has done any work with the Cypress EZ-USB FX2 device, specifically in getting it to continue to talk after the PC goes into...

If anybody out there has done any work with the Cypress EZ-USB FX2 device, specifically in getting it to continue to talk after the PC goes into standby mode (and comes out again). The Cypress sample code doesn't work and their technical support seem unwilling/unable to help. It also appears to do different things on different platforms and different versions of windows. For the most part,...


Bug in Cypress' fw.c ?

Started by Bill Davy in comp.arch.embedded17 years ago

I am having terrible trouble explaining (what I think is) a bug in Cypress' software to their technical support. I fear others may have...

I am having terrible trouble explaining (what I think is) a bug in Cypress' software to their technical support. I fear others may have struggled too. Perhaps there are other bugs and we are all wasting time finding them. At least, I've not found anywhere where they are discussed and newly downloaded code is old. Anyway, for what it is worth, I believe: if(GotSUD) ...


Cypress PSoC Devices

Started by Ross in comp.arch.embedded20 years ago 1 reply

We're using a Cypress 8bit PSoC device in a new product. We have a couple of their development kits ( I think they were about $300 ea)....

We're using a Cypress 8bit PSoC device in a new product. We have a couple of their development kits ( I think they were about $300 ea). Well, one of the ICE-4000 units died recently after about a year and a half, though the other is working fine. I called a distributor to find out what to do about repair or buying a replacement. He checked into it and found that I should be able...


Cypress PSOC 6

Started by Anonymous in comp.arch.embedded6 years ago 3 replies

It has been a while since I looked at anything Cypress. I have a couple of PSOC something sticks that were fun to play with a bit, but I never...

It has been a while since I looked at anything Cypress. I have a couple of PSOC something sticks that were fun to play with a bit, but I never used the CPU for a project. Now they have come out with a PSOC 6 with asymmetric dual processors, and M4 and a M0+ with an optional BLE radio and stack. Looks like they are using the M0+ to control the BLE and the M4 is for user code. I t


Micro controllers with UHF transceivers?

Started by Joerg in comp.arch.embedded16 years ago 68 replies

Hello Folks, After some Google searching and perusing the sites of the usual contenders I only found one uC family that has serious on-chip...

Hello Folks, After some Google searching and perusing the sites of the usual contenders I only found one uC family that has serious on-chip RF transceiver capabilities, the Cypress CYWUSB6953 and its brethren. rfPICs and others usually only have a transmitter. Anyhow, the Cypress will only serve 2.45GHz but I need the lower UHF bands for range reasons. Is anything coming down the pik...


Cypress web site down

Started by rickman in comp.arch.embedded18 years ago 1 reply

Anyone know what is wrong at Cypress? I have not been able to get on the web site for a couple of hours. Just in the last 15 minutes or so I...

Anyone know what is wrong at Cypress? I have not been able to get on the web site for a couple of hours. Just in the last 15 minutes or so I have been getting this error message instead of coming up blank. "The server has experienced an error on startup. This problem must be fixed before using the system." Any word on an attack or just some sort of internal failure? I still remember...


Adding RAM to Cypress PSoC

Started by KenLem in comp.arch.embedded18 years ago 9 replies

I want to add serial RAM to Cypress PSoC. I'm looking for something around 4K Bytes- ( 4096 x 8) 64KBytes (65536 x 8) for < $2. It...

I want to add serial RAM to Cypress PSoC. I'm looking for something around 4K Bytes- ( 4096 x 8) 64KBytes (65536 x 8) for < $2. It doesn't have to be non-volatile. I'm planning on adding some RAMTRON FRAM to use a storage but my appliation needs RAM to run. Any suggestions?


Cypress PSOC ARM

Started by rickman in comp.arch.embedded17 years ago 5 replies

Cypress is supposed to be working on an ARM version of the PSOC with greatly improved programmable blocks. When I was first told of it, here...

Cypress is supposed to be working on an ARM version of the PSOC with greatly improved programmable blocks. When I was first told of it, here was a lot of confusion on whether it was going to be a CM3 or an ARM9 core. They said it would be out by the end of the year. Well, the year is half over and I would expect them to be talking about it a bit more now. Anyone heard any news?


USB kit FX2 from cypress

Started by in comp.arch.embedded20 years ago 4 replies

Hello, I am currently trying to develop a firmware on FX2 microcontroller from cypress, which is able to send continously data to a windows...

Hello, I am currently trying to develop a firmware on FX2 microcontroller from cypress, which is able to send continously data to a windows pc. However I have no idea where to start my doings. Should I first begin to program a windows application (do I need to write a driver?) or should I start programming the FX2-firmware. What I need is some kind of sample, that demonstrate the communica...


getting started with Cypress CY7C63310 and CY7C63801 series

Started by Gary Peek in comp.arch.embedded15 years ago 1 reply

Perhaps I'm merely having a "bad documentation day", but I'm having problems figuring out how to program the Cypress series of chips that...

Perhaps I'm merely having a "bad documentation day", but I'm having problems figuring out how to program the Cypress series of chips that contains the CY7C63310 and CY7C63801. Their datasheet says: "The enCoRe II supports in system programming by using the D+ and D? pins as the serial programming mode interface. The programming protocol is not USB." This seems to imply that they have a u...


CYPRESS EZ-USB?

Started by l3d007 in comp.arch.embedded17 years ago 9 replies

I am still in school and would like some advice on what type of development board I should use from Cypress that I plan on intergrating into a...

I am still in school and would like some advice on what type of development board I should use from Cypress that I plan on intergrating into a device for a senior project. Requirements: mcu with general IO to accept a single bit (im thinking low-speed/inturrupt xfer/I want my device to be fall under the HID class of usb peripherals so I do not have to write device drivers) development envio...


cypress usb communication

Started by sanika in comp.arch.embedded15 years ago 3 replies

Hi, I am using cypress FX2 usb chip. I have configured it as RENUM = 1 i.e. all commands coming on endpoint0 are handled by firmware. Now I am...

Hi, I am using cypress FX2 usb chip. I have configured it as RENUM = 1 i.e. all commands coming on endpoint0 are handled by firmware. Now I am using EP6 as In, Bulk, 512 byte, Double buffered. When host requests an IN packet its request is fulfilled only twice when double buffering is used and only 4 times when quad buffering is use. I think the problem is that firmware has to decrement and make ...


Cypress EZ-USB microcontroller

Started by yagnesh in comp.arch.embedded16 years ago 2 replies

Hello Sir, I am currently trying to develop a firmware on FX2 microcontroller from cypress, which is able to send continously data to a...

Hello Sir, I am currently trying to develop a firmware on FX2 microcontroller from cypress, which is able to send continously data to a windows pc. However I have no idea where to start my doings. Should I first begin to program a windows application (do I need to write a driver?) or should I start programming the FX2-firmware. What I need is some kind of sample, that demonstrate the com...


Cypress EZ-USB help

Started by galapogos in comp.arch.embedded16 years ago 13 replies

Hi, I'm trying to modify the Cypress EZ-USB firmware for some added functionality, but I'm having some problems regarding running out of code...

Hi, I'm trying to modify the Cypress EZ-USB firmware for some added functionality, but I'm having some problems regarding running out of code space. It seems that when I call some of the EZUSB I2C library functions, my code space balloons by quite a bit. For example EZUSB_InitI2C would increase my code space by 500bytes. The default firmware already uses up over 6KB, which leaves me with a...


Cypress PSOC programmers please comment.

Started by Alistair George in comp.arch.embedded18 years ago 12 replies

Hello. Myapp is for a PSC motor controller (speed and direction) there are many app notes for various chips eg Microchip AN967 gives decent...

Hello. Myapp is for a PSC motor controller (speed and direction) there are many app notes for various chips eg Microchip AN967 gives decent details, code and so on. I am used to 8051 and with the given data from Microchip appnote AN967 would be able to impliment a similar control system. I went to my chip vendor who suggested instead of staying with the 8051 family to try Cypress PSOC ...